Design error diagnosis in sequential circuits

نویسندگان

  • Ayman M. Wahba
  • Dominique Borrione
چکیده

Abstrac t . We present a new diagnostic algorithm for localising design errors in sequential circuits. The specification and the implementation may have different number of state variables, and different state encoding. The algorithm is based on the new concept of possible next states describing the possible states of the circuit due to the existence of the error. Results obtained on benchmark circuits show that the error is always found, with an execution time proportional to the product of the circuit size, and the length of the test sequences used.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Design and Test of New Robust QCA Sequential Circuits

   One of the several promising new technologies for computing at nano-scale is quantum-dot cellular automata (QCA). In this paper, new designs for different QCA sequential circuits are presented. Using an efficient QCA D flip-flop (DFF) architecture, a 5-bit counter, a novel single edge generator (SEG) and a divide-by-2 counter are implemented. Also, some types of oscillators, a new edge-t...

متن کامل

Error Diagnosis of Sequential Circuits Using Region-Based Mode

Algorithms to locate multiple design errors using region-based model are studied for both combinational and sequential circuits. The model takes locality aspect of errors and is based on a 3-value, non-enumerative analysis technique. Studies show the effectiveness of the region based model for gate connection and gate substitution errors. For sequential circuits, we try to locate the time frame...

متن کامل

Fault-Simulation Based Design Error Diagnosis for Sequential Circuits - Design Automation Conference, 1998. Proceedings

This paper addresses the problem of locating design errors in a sequential circuit. For single-error circuits, we consider a signal f a s a potential error source only if the circuit can be completely rectified by re-synthesizing f (i.e., changing the function of signal 8. In order to handle larger circuits, we do not rely on Binary Decision Diagram. Instead, we search for potential error sourc...

متن کامل

Fault Tolerant Design of Combinational and Sequential Logic Based on a Parity Check Code

We describe a method for designing fault tolerant circuits based on an extension of a Concurrent Error Detection (CED) technique. The proposed extension combines parity check codes and duplication in order to not only perform error detection but also provide diagnosis and correction capabilities. Informed selection among the outputs of the original synthesized circuit and the outputs of a const...

متن کامل

ErrorTracer: design error diagnosis based on fault simulation techniques

This paper addresses the problem of locating error sources in an erroneous combinational or sequential circuit. We use a fault simulation-based technique to approximate each internal signal’s correcting power. The correcting power of a particular signal is measured in terms of the signal’s correctable set, namely, the maximum set of erroneous input vectors or sequences that can be corrected by ...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:

دوره   شماره 

صفحات  -

تاریخ انتشار 1995